Reducción del consumo de potencia en unidades funcionales mediante cotejo de códigos de operación

Tesis doctoral de María Guadalupe Miñana Ropero

En los últimos años se han producido importantes avances en los procesos de integración de transistores. Esto ha hecho aumentar enormemente las prestaciones de los procesadores actuales. Estos avances tecnológicos traen asociados una serie de problem as que el diseñador tiene que tener presentes. El consumo de potencia aparece, entre otros, como uno de los principales problemas que se debe tener en cuenta en todos los aspectos del diseño de los sistemas actuales y desde las primeras etapas. den tro de los distintos módulos del procesador, la unidad de ejecución es uno de los que presenta mayor interés a la hora de proponer técnicas de reducción del consumo en los gpps. Esto es debido principalmente a: ¿las ufs (de enteros y punto flotante ) son una de las estructuras que más consumen, representando el 20 del consumo total del procesador. Esto las sitúa al nivel de las las caches y las colas de lanzamiento, con su lógica de wake-up. ¿son módulos que se usan en cada ciclo o aumento de la temperatura o aumento del consumo estático ¿son estructuras que están replicadas o aumenta el número de transistores en la unidad de ejecución o aumenta la densidad de potencia, posibles aparición de punto calientes ¿existen menos técnicas para reducir su consumo que en otros módulos el trabajo de investigación desarrollado en esta tesis se centra en reducir el consumo de potencia en la unidad de ejecución de los gpps mediante e l uso de sumadores de diferentes tamaños y por lo tanto diferentes consumos. la propuesta se presenta a nivel de microarquitectura y consiste en sustituir algunos de los sumadores de enteros de 64-bits de los procesadores modernos, que están diseña dos para ser rápidos lo que les hace tener un alto consumo de potencia, por sumadores de enteros de 32/24-bits, que no necesitan ser tan rápidos (ya que el máximo retardo lo marca el sumador de 64-bits) y por lo tanto su consumo tanto estático como dinámico es bastante menor. Con esta técnica se consigue reducir tanto el consumo estático como el dinámico en las unidades funcionales sin afectar prácticamente al rendimiento. esta técnica explota el hecho de que el 78,4 de las instrucciones que requieren sumadores para su ejecución tiene alguno de sus operandos de los denominados ¿operandos narrow¿ (con tamaño menor o igual a 32 bits) y por lo tanto pueden usar un sumador de 32/24-bits en vez de uno de 64-bits. Para elegir el tipo de suma

 

Datos académicos de la tesis doctoral «Reducción del consumo de potencia en unidades funcionales mediante cotejo de códigos de operación«

  • Título de la tesis:  Reducción del consumo de potencia en unidades funcionales mediante cotejo de códigos de operación
  • Autor:  María Guadalupe Miñana Ropero
  • Universidad:  Complutense de Madrid
  • Fecha de lectura de la tesis:  27/03/2009

 

Dirección y tribunal

  • Director de la tesis
    • José Ignacio Hidalgo Perez
  • Tribunal
    • Presidente del tribunal: Luis Piñuel moreno
    • Francisco Fernández de vega (vocal)
    • Francisco Manuel Delicado martínez (vocal)
    • óscar Rodríguez polo (vocal)

 

Deja un comentario

Tu dirección de correo electrónico no será publicada. Los campos obligatorios están marcados con *

Scroll al inicio